EUV lithography machine marching towards 1nm

Time:

2021-10-15

Views:

ASML officially marched into 1nm and developed high-end chip products. Now the main EUV lithography machines shipped are nxe: 3400b and 3400c respectively. Their numerical aperture (NA) is 0.333400c. At present, the availability has reached about 90%.


The delivery and configuration process of lithography machine is a long process, which takes two years. The large-scale application of 0.55na will wait until 2025 ~ 2026. The main services should be TSMC 2nm or even 1nm processes. EUV lithography machine is expected to be delivered at 3600d by the end of 2021. The wafer flux at 30MJ / cm2 is 160, which is 18% higher than that of 3400c. The matching between machines has also increased. It is expected that it will be the main support for TSMC and Samsung 3nm processes in the future. After 3600d, the lithography machines planned by EUV are next, ext: 5000 and exe: 5200 respectively, of which exe: 5000 starts, and the numerical aperture is increased to 0.55, but it will be delivered later in 2022.


The silicon wafer and exposure clean room, the main components of the lithography machine, are approaching the physical limit, which is also a great challenge. Now, the 5nm / 7Nm lithography machine needs more than 100000 parts and about 40 containers, while the 1nm lithography machine is twice as large as the 3nm lithography machine, and the required devices can be imagined. As the size of the chip becomes smaller and smaller, more and more processes are required.


The generation of semiconductor process is complex. There are at least 20 kinds of semiconductor equipment, and the lithography machine is only one of them. 'Next generation equipment, next generation chip'. In addition to the lithography machine, other equipment also need to reach a sufficiently advanced level to meet the needs of advanced process chip production.


Under the influence of Sino US trade war, if semiconductor equipment can not innovate and improve production technology in time, domestic chip processing plants will be unable to produce more advanced chips one day.


At present, the EUV lithography machines mainly shipped by ASML are nxe: 3400b and 3400c respectively. Their numerical aperture (NA) is 0.333400c. At present, the availability has reached about 90%. The next step is to officially march towards 1nm and develop high-end chip products.


EUV光刻机向1nm进军

(source: fenghuang.com, invasion and deletion)